Volgen
Krishna Palem
Krishna Palem
Ken and Audrey Kennedy Professor
Geverifieerd e-mailadres voor rice.edu
Titel
Geciteerd door
Geciteerd door
Jaar
Seeking solutions in configurable computing
WH Mangione-Smith, B Hutchings, D Andrews, A DeHon, C Ebeling, ...
Computer 30 (12), 38-43, 1997
2551997
Energy aware computing through probabilistic switching: A study of limits
KV Palem
IEEE Transactions on Computers 54 (9), 1123-1137, 2005
2432005
Tail bounds for occupancy and the satisfiability threshold conjecture
A Kamath, R Motwani, K Palem, P Spirakis
Random Structures & Algorithms 7 (1), 59-80, 1995
2391995
Probabilistic arithmetic and energy efficient embedded signal processing
J George, B Marr, BES Akgul, KV Palem
Proceedings of the 2006 international conference on Compilers, architecture …, 2006
1742006
Efficient robust parallel computations
ZM Kedem, KV Palem, PG Spirakis
Proceedings of the twenty-second annual ACM symposium on Theory of Computing …, 1990
1681990
Ultra-efficient (embedded) SOC architectures based on probabilistic CMOS (PCMOS) technology
LN Chakrapani, BES Akgul, S Cheemalavagu, P Korkmaz, KV Palem, ...
Proceedings of the Design Automation & Test in Europe Conference 1, 1-6, 2006
1482006
Leveraging the error resilience of machine-learning applications for designing highly energy efficient accelerators
Z Du, K Palem, A Lingamneni, O Temam, Y Chen, C Wu
2014 19th Asia and South Pacific design automation conference (ASP-DAC), 201-206, 2014
1452014
Energy parsimonious circuit design through probabilistic pruning
A Lingamneni, C Enz, JL Nagel, K Palem, C Piguet
2011 Design, Automation & Test in Europe, 1-6, 2011
1432011
A probabilistic CMOS switch and its realization by exploiting noise
S Cheemalavagu, P Korkmaz, KV Palem, BES Akgul, LN Chakrapani
IFIP International Conference on VLSI, 535-541, 2005
1322005
Combining tentative and definite executions for very fast dependable parallel computing
ZM Kedem, KV Palem, A Raghunathan, PG Spirakis
Proceedings of the twenty-third annual ACM symposium on Theory of Computing …, 1991
1261991
Ten years of building broken chips: The physics and engineering of inexact computing
K Palem, A Lingamneni
ACM Transactions on Embedded Computing Systems (TECS) 12 (2s), 1-23, 2013
1212013
Trimaran: An infrastructure for research in instruction-level parallelism
LN Chakrapani, J Gyllenhaal, WW Hwu, SA Mahlke, KV Palem, ...
Languages and Compilers for High Performance Computing: 17th International …, 2005
1172005
Highly energy and performance efficient embedded computing through approximately correct arithmetic: A mathematical foundation and preliminary experimental validation
LNB Chakrapani, KK Muntimadugu, A Lingamneni, J George, KV Palem
Proceedings of the 2008 international conference on Compilers, architectures …, 2008
1162008
Scheduling time-critical instructions on RISC machines
KV Palem, BB Simons
ACM Transactions on Programming Languages and Systems (TOPLAS) 15 (4), 632-658, 1993
1141993
Design and applications of approximate circuits by gate-level pruning
J Schlachter, V Camus, KV Palem, C Enz
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (5 …, 2017
1012017
Sustaining moore's law in embedded computing through probabilistic and approximate design: retrospects and prospects
KV Palem, LNB Chakrapani, ZM Kedem, A Lingamneni, KK Muntimadugu
Proceedings of the 2009 international conference on Compilers, architecture …, 2009
952009
Combining register allocation and instruction scheduling
R Motwani, KV Palem, V Sarkar, S Reyen
Courant Institute, New York University, 1995
941995
Probabilistic system-on-a-chip architectures
LN Chakrapani, P Korkmaz, BES Akgul, KV Palem
ACM Transactions on Design Automation of Electronic Systems (TODAES) 12 (3 …, 2008
922008
Energy aware algorithm design via probabilistic computing: From algorithms and models to Moore's law and novel (semiconductor) devices
KV Palem
Proceedings of the 2003 international conference on Compilers, architecture …, 2003
862003
High-performance and low-power rewritable SiOx 1 kbit one diode-one resistor crossbar memory array
G Wang, AC Lauchner, J Lin, D Natelson, KV Palem, JM Tour
Adv. Mater 25 (34), 4789-4793, 2013
832013
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–20