Follow
Yuming He
Yuming He
IMEC | University of Groningen
Verified email at imec-nl.nl - Homepage
Title
Cited by
Cited by
Year
27.7 A 0.05mm21V capacitance-to-digital converter based on period modulation
Y He, Z Chang, L Pakula, SH Shalmany, M Pertijs
2015 IEEE International Solid-State Circuits Conference-(ISSCC) Digest of …, 2015
692015
A 0.8V 0.8mm2 bluetooth 5/BLE digital-intensive transceiver with a 2.3mW phase-tracking RX utilizing a hybrid loop filter for interference resilience in 40nm CMOS
M Ding, X Wang, P Zhang, Y He, S Traferro, K Shibata, M Song, ...
2018 IEEE International Solid-State Circuits Conference-(ISSCC), 446-448, 2018
562018
24.7 A 673µW 1.8-to-2.5 GHz dividerless fractional-N digital PLL with an inherent frequency-capture capability and a phase-dithering spur mitigation for IoT applications
Y He, YH Liu, T Kuramochi, J van den Heuvel, B Busze, N Markulic, ...
2017 IEEE International Solid-State Circuits Conference (ISSCC), 420-421, 2017
562017
An implantable neuromorphic sensing system featuring near-sensor computation and send-on-delta transmission for wireless neural sensing of peripheral nerves
Y He, F Corradi, C Shi, S van der Ven, M Timmermans, J Stuijt, P Detterer, ...
IEEE journal of solid-state circuits 57 (10), 3058-3070, 2022
262022
21.2 A 3-to-10GHz 180pJ/b IEEE802. 15.4 z/4a IR-UWB coherent polar transmitter in 28nm CMOS with asynchronous amplitude pulse-shaping and injection-locked phase modulation
E Allebes, G Singh, Y He, E Tiurin, P Mateman, M Ding, J Dijkhuis, ...
2021 IEEE International Solid-State Circuits Conference (ISSCC) 64, 304-306, 2021
192021
A 1.33 mW, 1.6psrms-Integrated-Jitter, 1.8-2.7 GHz Ring-Oscillator-Based Fractional-N Injection-Locked DPLL for Internet-of-Things Applications
J Gong, Y He, A Ba, YH Liu, J Dijkhuis, S Traferro, C Bachmann, K Philips, ...
2018 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 44-47, 2018
152018
A 0.9–1.2 V supplied, 2.4 GHz Bluetooth low energy 4.0/4.2 and 802.15. 4 transceiver SoC optimized for battery life
X Wang, J Van den Heuvel, GJ van Schaik, C Lu, Y He, A Ba, B Busze, ...
ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference, 125-128, 2016
152016
30.6 A Low-Power BLE Transceiver with Support for Phase-Based Ranging, Featuring 5µs PLL Locking Time and 5.3 ms Ranging Time, Enabled by Staircase-Chirp PLL with Sticky-Lock …
E Bechthum, J Dijkhuis, M Ding, Y He, J Van Den Heuvel, P Mateman, ...
2020 IEEE International Solid-State Circuits Conference-(ISSCC), 470-472, 2020
142020
A 0.62 nJ/b multi-standard WiFi/BLE wideband digital polar TX with dynamic FM correction and AM alias suppression for IoT applications
A Ba, J Van Den Heuvel, P Mateman, C Zhou, B Busze, M Song, Y He, ...
2018 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 308-311, 2018
132018
A 28.2 μW Neuromorphic Sensing System Featuring SNN-based Near-sensor Computation and Event-Driven Body-Channel Communication for Insertable Cardiac Monitoring
Y He, F Corradi, C Shi, M Ding, M Timmermans, J Stuijt, P Harpe, I Ocket, ...
2021 IEEE Asian Solid-State Circuits Conference (A-SSCC), 1-3, 2021
102021
A Bluetooth 5 transceiver with a phase-tracking RX and its corresponding digital baseband in 40-nm CMOS
M Ding, P Zhang, Y He, S Traferro, M Song, H Korpela, K Shibata, K Ueda, ...
IEEE Journal of Solid-State Circuits 56 (1), 254-266, 2020
102020
An injection-locked ring-oscillator-based fractional-N digital PLL supporting BLE frequency modulation
Y He, J van den Heuvel, P Mateman, E Allebes, S Traferro, J Dijkhuis, ...
IEEE Journal of Solid-State Circuits 57 (6), 1765-1775, 2022
92022
An IR-UWB IEEE 802.15. 4z compatible coherent asynchronous polar transmitter in 28-nm CMOS
G Singh, E Allebes, Y He, E Tiurin, P Mateman, JF Dijkhuis, ...
IEEE Journal of Solid-State Circuits 56 (12), 3799-3810, 2021
92021
A 3-10ghz 21.5 mw/channel rx and 8.9 mw tx ir-uwb 802.15. 4a/z 1t3r transceiver
E Bechthum, M Song, G Singh, E Allebes, C Basetas, P Boer, ...
ESSCIRC 2022-IEEE 48th European Solid State Circuits Conference (ESSCIRC …, 2022
72022
Fresnel-reflection-based fiber sensor for high-temperature measurement
J Pan, X Huang, Y He, B Huang
Review of Scientific Instruments 83 (3), 2012
42012
An Event-based Neural Compressive Telemetry with> 11× Loss-less Data Reduction for High-bandwidth Intracortical Brain Computer Interfaces
Y He, S van der Ven, HP Liaw, C Shi, P Russo, M Gourdouparis, ...
IEEE Transactions on Biomedical Circuits and Systems, 2024
2024
A Spatially Diverse 2TX-3RX Galvanic-Coupled Transdural Telemetry for Tether-Less Distributed Brain-Computer Interfaces
C Shi, Y He, M Gourdouparis, G Dolmans, YH Liu
IEEE transactions on biomedical circuits and systems, 2024
2024
6.2 An Ultrasound-Powering TX with a Global Charge-Redistribution Adiabatic Drive Achieving 69% Power Reduction and 53° Maximum Beam Steering Angle for Implantable Applications
M Gourdouparis, C Shi, Y He, S Stanzione, R Ukropec, P Gijsenbergh, ...
2024 IEEE International Solid-State Circuits Conference (ISSCC) 67, 102-104, 2024
2024
Circuit and method for random edge injection locking
J Van Den Heuvel, P Mateman, HE Yuming
US Patent 11,342,923, 2022
2022
The system can't perform the operation now. Try again later.
Articles 1–19