Volgen
Rishad Shafik
Rishad Shafik
Professor in Microelectronic Systems, Newcastle University, UK
Geverifieerd e-mailadres voor newcastle.ac.uk - Homepage
Titel
Geciteerd door
Geciteerd door
Jaar
On the extended relationships among EVM, BER and SNR as performance metrics
RA Shafik, MS Rahman, AHMR Islam
2006 International Conference on Electrical and Computer Engineering, 408-411, 2006
8452006
On the error vector magnitude as a performance metric and comparative analysis
RA Shafik, MS Rahman, AHMR Islam, NS Ashraf
2006 International Conference on Emerging Technologies, 27-31, 2006
1512006
Reinforcement learning-based inter-and intra-application thermal optimization for lifetime improvement of multicore systems
AK Das, RA Shafik, GV Merrett, BM Al-Hashimi, A Kumar, B Veeravalli
51st Design Automation Conference (DAC), (accepted), 2014
1212014
Energy-Efficient Approximate Multiplier Design using Bit Significance-Driven Logic Compression
I Qiqieh, R Shafik, G Tarawneh, D Sokolov, A Yakovlev
Design Automation and Test in Europe (DATE), 2017
962017
Learning transfer-based adaptive energy minimization in embedded systems
RA Shafik, S Yang, A Das, LA Maeda-Nunez, GV Merrett, BM Al-Hashimi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
812015
SystemC-based minimum intrusive fault injection technique with improved fault representation
RA Shafik, P Rosinger, BM Al-Hashimi
2008 14th IEEE International On-Line Testing Symposium, 99-104, 2008
672008
Adaptive energy minimization of embedded heterogeneous systems using regression-based learning
S Yang, RA Shafik, GV Merrett, E Stott, JM Levine, J Davis, BM Al-Hashimi
2015 25th international workshop on power and timing modeling, optimization …, 2015
612015
Learning automata based energy-efficient AI hardware design for IoT applications
A Wheeldon, R Shafik, T Rahman, J Lei, A Yakovlev, OC Granmo
Philosophical transactions of the royal society a 378 (2182), 20190593, 2020
542020
Power-Aware Performance Adaptation of Concurrent Applications in Heterogeneous Many-Core Systems
A Aalsaud, R Shafik, A Rafiev, F Xia, S Yang, A Yakovlev
International Symposium on Low Power Electronics and Design (ISLPED), 2016
542016
K-nearest neighbor based methodology for accurate diagnosis of diabetes mellitus
M Panwar, A Acharyya, RA Shafik, D Biswas
2016 sixth international symposium on embedded computing and system design …, 2016
452016
Workload uncertainty characterization and adaptive frequency scaling for energy minimization of embedded systems
A Das, A Kumar, B Veeravalli, R Shafik, G Merrett, B Al-Hashimi
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), 43-48, 2015
402015
Real-Power Computing
R Shafik, A Yakovlev, S Das
IEEE Transactions on Computers 67 (10), 1--16, 2018
372018
Significance-Driven Logic Compression for Energy-efficient Multiplier Design
A Qiqieh, I., Shafik, R., Tarawneh, G., Sokolov, D., Das, S. and Yakovlev
IEEE Journal of Emerging and Selected Topics in Circuits and Systems, to appear, 2018
342018
Low-Power Audio Keyword Spotting using Tsetlin Machines
J Lei, T Rahman, R Shafik, A Wheeldon, A Yakovlev, OC Granmo, ...
https://arxiv.org/abs/2101.11336, 1--21, 2021
332021
Adaptive energy minimization of openmp parallel applications on many-core systems
RA Shafik, A Das, S Yang, G Merrett, BM Al-Hashimi
Proceedings of the 6th Workshop on Parallel Programming and Run-Time …, 2015
332015
Explainability and Dependability Analysis of Learning Automata based AI Hardware
R Shafik, A Wheeldon, A Yakovlev
IEEE 26th International Symposium on On-Line Testing and Robust System …, 2020
282020
Verilog-A based Effective Complementary Resistive Switch Model for Simulations and Analysis
Y Yang, J Mathew, RA Shafik, DK Pradhan
IEEE Embedded Systems Letters (ESL), 1-4, 2013
282013
From Arithmetic to Logic Based AI: a Comparative Analysis of Neural Networks and Tsetlin Machine
J Lei, A Wheeldon, R Shafik, A Yakovlev, OC Granmo
27th IEEE International Conference on Electronics Circuits and Systems …, 2020
272020
Machine Learning for Run-Time Energy Optimisation in Many-Core Systems
D Biswas, V Balagopal, S Shafik, BM Al-Hashimi, GV Merrett
Design Automation and Test in Europe (DATE): Hot Topic Session …, 2017
262017
Soft error-aware design optimization of low power and time-constrained embedded systems
RA Shafik, BM Al-Hashimi, K Chakrabarty
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010 …, 2010
242010
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–20