Volgen
Seongjong Josh Kim
Seongjong Josh Kim
Intel Labs, Intel Corporation
Geverifieerd e-mailadres voor intel.com
Titel
Geciteerd door
Geciteerd door
Jaar
Variation-tolerant, ultra-low-voltage microprocessor with a low-overhead, within-a-cycle in-situ timing-error detection and correction technique
S Kim, M Seok
IEEE Journal of Solid-State Circuits 50 (6), 1478-1490, 2015
1072015
Razor-lite: A light-weight register for error detection by observing virtual supply rails
I Kwon, S Kim, D Fick, M Kim, YP Chen, D Sylvester
IEEE Journal of Solid-State Circuits 49 (9), 2054-2066, 2014
922014
Razor-lite: A side-channel error-detection register for timing-margin recovery in 45nm SOI CMOS
S Kim, I Kwon, D Fick, M Kim, YP Chen, D Sylvester
2013 IEEE International Solid-State Circuits Conference Digest of Technical …, 2013
662013
Compact and supply-voltage-scalable temperature sensors for dense on-chip thermal monitoring
T Yang, S Kim, PR Kinget, M Seok
IEEE Journal of Solid-State Circuits 50 (11), 2773-2785, 2015
552015
A 617-TOPS/W all-digital binary neural network accelerator in 10-nm FinFET CMOS
PC Knag, GK Chen, HE Sumbul, R Kumar, SK Hsu, A Agarwal, M Kar, ...
IEEE journal of solid-state circuits 56 (4), 1082-1092, 2020
482020
A 450mV timing-margin-free waveform sorter based on body swapping error correction
S Kim, JP Cerqueira, M Seok
2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), 1-2, 2016
202016
In Situ Error Detection Techniques in Ultralow Voltage Pipelines: Analysis and Optimizations
W Jin, S Kim, W He, Z Mao, M Seok
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (3 …, 2016
172016
R-processor: 0.4 V resilient processor with a voltage-scalable and low-overhead in-situ error detection and correction technique in 65nm CMOS
S Kim, M Seok
2014 Symposium on VLSI Circuits Digest of Technical Papers, 1-2, 2014
162014
A 30.1μm2, < ±1.1°C-3σ-error, 0.4-to-1.0V temperature sensor based on direct threshold-voltage sensing for on-chip dense thermal monitoring
S Kim, M Seok
2015 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2015
142015
Optimized fused floating-point many-term dot-product hardware for machine learning accelerators
H Kaul, M Anders, S Mathew, S Kim, R Krishnamurthy
2019 IEEE 26th Symposium on Computer Arithmetic (ARITH), 84-87, 2019
132019
A Near-Threshold Spiking Neural Network Accelerator With a Body-Swapping-Based Error Detection and Correction Technique
S Kim, JP Cerqueira, M Seok
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27 (8 …, 2019
132019
Near- and Sub- Pipelines Based on Wide-Pulsed-Latch Design Techniques
W Jin, S Kim, W He, Z Mao, M Seok
IEEE Journal of Solid-State Circuits 52 (9), 2475-2487, 2017
132017
1.74-µW/ch, 95.3%-accurate spike-sorting hardware based on Bayesian decision
Z Jiang, JP Cerqueira, S Kim, Q Wang, M Seok
2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), 1-2, 2016
102016
16.4 0.6-to-1.0V 279μm2, 0.92μW temperature sensor with less than +3.2/-3.4°C error for on-chip dense thermal monitoring
T Yang, S Kim, PR Kinget, M Seok
2014 IEEE International Solid-State Circuits Conference Digest of Technical …, 2014
102014
Analysis and optimization of in-situ error detection techniques in ultra-low-voltage pipeline
S Kim, M Seok
Proceedings of the 2014 international symposium on Low power electronics and …, 2014
92014
A 2.9–33.0 TOPS/W reconfigurable 1-D/2-D compute-near-memory inference accelerator in 10-nm FinFET CMOS
HE Sumbul, GK Chen, PC Knag, R Kumar, MA Anders, H Kaul, SK Hsu, ...
IEEE Solid-State Circuits Letters 3, 118-121, 2020
82020
A 0.35 V 1.3 pJ/cycle 20MHz 8-bit 8-tap FIR core based on wide-pulsed-latch pipelines
W Jin, S Kim, W He, Z Mao, M Seok
2016 IEEE Asian Solid-State Circuits Conference (A-SSCC), 129-132, 2016
52016
Reconfigurable regenerator-based interconnect design for ultra-dynamic-voltage-scaling systems
S Kim, M Seok
Proceedings of the 2014 international symposium on Low power electronics and …, 2014
42014
25.9 reconfigurable transient current-mode global interconnect circuits in 10nm cmos for high-performance processors with wide voltage-frequency operating range
MA Anders, H Kaul, S Kim, GK Chen, R Kumar, HE Sumbul, PC Knag, ...
2020 IEEE International Solid-State Circuits Conference-(ISSCC), 396-398, 2020
32020
Near-Vt adaptive microprocessor and power-management-unit system based on direct error regulation
S Kim, JP Cerqueira, M Seok
ESSCIRC 2017-43rd IEEE European Solid State Circuits Conference, 163-166, 2017
32017
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–20