Follow
Innocent Agbo
Title
Cited by
Cited by
Year
Bias temperature instability analysis of FinFET based SRAM cells
S Khan, I Agbo, S Hamdioui, H Kukner, B Kaczer, P Raghavan, F Catthoor
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2014
632014
Integral impact of BTI, PVT variation, and workload on SRAM sense amplifier
I Agbo, M Taouil, D Kraak, S Hamdioui, H Kükner, P Weckx, P Raghavan, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (4 …, 2017
412017
Quantification of sense amplifier offset voltage degradation due to zero-and run-time variability
I Agbo, M Taouil, S Hamdioui, P Weckx, S Cosemans, P Raghavan, ...
2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 725-730, 2016
232016
BTI impact on SRAM sense amplifier
I Agbo, S Khan, S Hamdioui
2013 8th IEEE Design and Test Symposium, 1-6, 2013
232013
Mitigation of sense amplifier degradation using input switching
D Kraak, I Agbo, M Taouil, S Hamdioui, P Weckx, S Cosemans, F Catthoor, ...
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
222017
Impact and mitigation of sense amplifier aging degradation using realistic workloads
D Kraak, M Taouil, I Agbo, S Hamdioui, P Weckx, S Cosemans, F Catthoor
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (12 …, 2017
212017
Integral impact of BTI and voltage temperature variation on SRAM sense amplifier
I Agbo, M Taouil, S Hamdioui, H Kukner, P Weckx, P Raghavan, ...
2015 IEEE 33rd VLSI Test Symposium (VTS), 1-6, 2015
202015
Comparative BTI analysis for various sense amplifier designs
I Agbo, M Taouil, S Hamdioui, P Weckx, S Cosemans, P Raghavan, ...
2016 IEEE 19th International Symposium on Design and Diagnostics of …, 2016
152016
BTI analysis of SRAM write driver
I Agbo, M Taouil, S Hamdioui, P Weckx, S Cosemans, F Catthoor
2015 10th International Design & Test Symposium (IDT), 100-105, 2015
142015
Degradation analysis of high performance 14nm finfet sram
D Kraak, I Agbo, M Taouil, S Hamdioui, P Weckx, S Cosemans, F Catthoor
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 201-206, 2018
132018
Read path degradation analysis in SRAM
I Agbo, M Taouil, S Hamdioui, P Weckx, S Cosemans, F Catthoor, ...
2016 21th IEEE European Test Symposium (ETS), 1-2, 2016
132016
Parametric and functional degradation analysis of complete 14-nm FinFET SRAM
D Kraak, M Taouil, I Agbo, S Hamdioui, P Weckx, S Cosemans, F Catthoor
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27 (6 …, 2019
122019
Hardware-based aging mitigation scheme for memory address decoder
D Kraak, I Agbo, M Taouil, S Hamdioui, P Weckx, S Cosemans, F Catthoor
2019 IEEE European Test Symposium (ETS), 1-6, 2019
82019
Impact and mitigation of SRAM read path aging
I Agbo, M Taouil, D Kraak, S Hamdioui, P Weckx, S Cosemans, F Catthoor, ...
Microelectronics Reliability 87, 158-167, 2018
72018
Methodology for application-dependent degradation analysis of memory timing
D Kraak, I Agbo, M Taouil, S Hamdioui, P Weckx, S Cosemans, F Catthoor
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 162-167, 2019
52019
Implementable building blocks for fluctuation based calculation in single electron tunneling technology
I Agbo, S Safiruddin, S Cotofana
2009 9th IEEE Conference on Nanotechnology (IEEE-NANO), 366-369, 2009
52009
Software-based mitigation for memory address decoder aging
DHP Kraak, CC Gürsoy, IO Agbo, M Taouil, M Jenihhin, J Raik, ...
2019 IEEE Latin American Test Symposium (LATS), 1-6, 2019
42019
Comparative analysis of rd and atomistic trap-based bti models on sram sense amplifier
I Agbo, M Taouil, S Hamdioui, S Cosemans, P Weckx, P Raghavan, ...
2015 10th International Conference on Design & Technology of Integrated …, 2015
42015
Comparative BTI impact for SRAM cell and sense amplifier designs
I Agbo, M Taouil, S Hamdioui, P Weckx, S Cosemans, P Raghavan, ...
32015
Reliability modeling and mitigation for embedded memories
IO Agbo, M Taouil, S Hamdioui
2019 IEEE International Test Conference (ITC), 1-10, 2019
22019
The system can't perform the operation now. Try again later.
Articles 1–20