Volgen
Gregory K Chen
Titel
Geciteerd door
Geciteerd door
Jaar
A highly resilient routing algorithm for fault-tolerant NoCs
D Fick, A DeOrio, G Chen, V Bertacco, D Sylvester, D Blaauw
2009 Design, Automation & Test in Europe Conference & Exhibition, 21-26, 2009
3182009
A cubic-millimeter energy-autonomous wireless intraocular pressure monitor
G Chen, H Ghaed, R Haque, M Wieckowski, Y Kim, G Kim, D Fick, D Kim, ...
2011 IEEE International Solid-State Circuits Conference, 310-312, 2011
2712011
Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells
G Chen, M Fojtik, D Kim, D Fick, J Park, M Seok, MT Chen, Z Foo, ...
2010 IEEE International Solid-State Circuits Conference-(ISSCC), 288-289, 2010
2622010
16.2 A 0.19 pJ/b PVT-variation-tolerant hybrid physically unclonable function circuit for 100% stable secure key generation in 22nm CMOS
SK Mathew, SK Satpathy, MA Anders, H Kaul, SK Hsu, A Agarwal, ...
2014 IEEE International Solid-State Circuits Conference Digest of Technical …, 2014
2552014
Yield-driven near-threshold SRAM design
G Chen, D Sylvester, D Blaauw, T Mudge
IEEE transactions on very large scale integration (VLSI) systems 18 (11 …, 2009
2182009
A 4096-neuron 1M-synapse 3.8-pJ/SOP spiking neural network with on-chip STDP learning and sparse weights in 10-nm FinFET CMOS
GK Chen, R Kumar, HE Sumbul, PC Knag, RK Krishnamurthy
IEEE Journal of Solid-State Circuits 54 (4), 992-1002, 2018
1882018
340 mv–1.1 v, 289 gbps/w, 2090-gate nanoaes hardware accelerator with area-optimized encrypt/decrypt gf (2 4) 2 polynomials in 22 nm tri-gate cmos
S Mathew, S Satpathy, V Suresh, M Anders, H Kaul, A Agarwal, S Hsu, ...
IEEE Journal of Solid-State Circuits 50 (4), 1048-1058, 2015
1592015
Circuits for a cubic-millimeter energy-autonomous wireless intraocular pressure monitor
MH Ghaed, G Chen, R Haque, M Wieckowski, Y Kim, G Kim, Y Lee, I Lee, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 60 (12), 3152-3162, 2013
1342013
A reliable routing architecture and algorithm for NoCs
A DeOrio, D Fick, V Bertacco, D Sylvester, D Blaauw, J Hu, G Chen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2012
1332012
A millimeter-scale energy-autonomous sensor system with stacked battery and solar cells
M Fojtik, D Kim, G Chen, YS Lin, D Fick, J Park, M Seok, MT Chen, Z Foo, ...
IEEE Journal of Solid-State Circuits 48 (3), 801-813, 2013
1312013
RNG: A 300–950 mV, 323 Gbps/W All-Digital Full-Entropy True Random Number Generator in 14 nm FinFET CMOS
SK Mathew, D Johnston, S Satpathy, V Suresh, P Newman, MA Anders, ...
IEEE Journal of Solid-State Circuits 51 (7), 1695-1704, 2016
1222016
Centip3De: A 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores
D Fick, RG Dreslinski, B Giridhar, G Kim, S Seo, M Fojtik, S Satpathy, ...
2012 IEEE International Solid-State Circuits Conference, 190-192, 2012
1162012
A 4-fJ/b delay-hardened physically unclonable function circuit with selective bit destabilization in 14-nm trigate CMOS
S Satpathy, SK Mathew, V Suresh, MA Anders, H Kaul, A Agarwal, ...
IEEE Journal of Solid-State Circuits 52 (4), 940-949, 2017
1082017
Circuit design advances for wireless sensing applications
G Chen, S Hanson, D Blaauw, D Sylvester
Proceedings of the IEEE 98 (11), 1808-1827, 2010
932010
A hybrid DC-DC converter for sub-microwatt sub-1V implantable applications
M Wieckowski, GK Chen, M Seok, D Blaauw, D Sylvester
2009 Symposium on VLSI Circuits, 166-167, 2009
862009
A 340 mV-to-0.9 V 20.2 Tb/s source-synchronous hybrid packet/circuit-switched 16× 16 network-on-chip in 22 nm tri-gate CMOS
G Chen, MA Anders, H Kaul, SK Satpathy, SK Mathew, SK Hsu, ...
IEEE Journal of Solid-State Circuits 50 (1), 59-67, 2014
832014
Algorithm and hardware design of discrete-time spiking neural networks based on back propagation with binary activations
S Yin, SK Venkataramanaiah, GK Chen, R Krishnamurthy, Y Cao, ...
2017 IEEE Biomedical Circuits and Systems Conference (BioCAS), 1-5, 2017
732017
Reconfigurable energy efficient near threshold cache architectures
RG Dreslinski, GK Chen, T Mudge, D Blaauw, D Sylvester, K Flautner
2008 41st IEEE/ACM International Symposium on Microarchitecture, 459-470, 2008
732008
Why compete when you can work together: FPGA-ASIC integration for persistent RNNs
E Nurvitadhi, D Kwon, A Jafari, A Boutros, J Sim, P Tomson, H Sumbul, ...
2019 IEEE 27th Annual International Symposium on Field-Programmable Custom …, 2019
672019
CAS-FEST 2010: Mitigating variability in near-threshold computing
M Seok, G Chen, S Hanson, M Wieckowski, D Blaauw, D Sylvester
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 1 (1 …, 2011
672011
Het systeem kan de bewerking nu niet uitvoeren. Probeer het later opnieuw.
Artikelen 1–20